Register for free to join our community of investors and share your ideas. You will also get access to streaming quotes, interactive charts, trades, portfolio, live options flow and more tools.
Register for free to join our community of investors and share your ideas. You will also get access to streaming quotes, interactive charts, trades, portfolio, live options flow and more tools.
It really is cool x...for those not familiar, the kerf is basically the amount of material displaced or wasted due to the thickness of the cutting instrument, think of the blade on your table saw, the thinner the blade the higher the yield or amount of material not wasted. Really next level technology!
The “no kerf loss” capability is unique to COLD SPLIT and delivers breakthrough advantages. First, it extracts more wafers per boule than conventional wafering technologies. This drives up output. Second, it dramatically reduces consumables costs.
EU electronics industry giant, Infineon has formed a subsidiary company called SILTECTRA that utilizes their proprietary polymers in their SILTECTRA COLD SPLIT technology for splitting crystalline materials as well as application in semiconductor material Silicon Carbide (SiC). Somewhat off topic, but the multiple mentions of polymers caught my ear. I wonder if Infineons' deep, deep technical expertise, industry entrenchment, deep pockets and massive market share could somehow favorably align with what LWLG is bringing to market? What a fantastic EU partner they would make, imho. It's fun to speculate...;)
▶️ Watch our video or check out our website on how we are driving #decarbonization for a greener #future with our innovative SILTECTRA™ COLD SPLIT technology: https://t.co/v4HztxAKeU pic.twitter.com/gpPipW6MEH
— Infineon (@Infineon) July 11, 2023
DAY 2, Thursday 8 September 2022 ?
EPIC events remainder of year...
https://epic-assoc.com/epic-events/
An impressive London based company I stumbled upon this morning...including 47 data center sites globally
Corporate Overview
KDDI Europe is a growing ICT solution provider based in London and a subsidiary of KDDI Corporation. It is the regional headquarters of EMEA and CIS regions offering a host of ICT services as a ‘One-Stop’ solution, including cloud computing, data centre, IT consulting, IT outsourcing, network, security, system integration and voice services. The excellent reputation and trust enjoyed by our Telehouse data centres positioned around the world have kept us at the forefront of service and quality.
Data truly is "the new oil"...
As many as 70% of organisations now report they have a Chief Data Officer (CDO) in place, indicating that many businesses now recognise the benefits that being data-driven can deliver – from having a better understanding of their customers to identifying new revenue opportunities.
How big of a deal are China’s curbs?
“A warning shot, not a death blow,” Eurasia Group said in a note on Monday.
“But these latest measures are more limited in scope, and while the new rules require Chinese exporters to first obtain a license, no language automatically bars export to specific countries or end-users.”
The U.S. and Europe don’t import huge amounts of these materials. The U.S. received $5 million of gallium metal and $220 million of gallium arsenide in 2022, according to government figures.
Germanium intake was higher, with the country taking $60 million of the metal, while the EU imported $130 million of Germanium in 2022, according to data from S&P Global Market Intelligence.
Other countries are also able to produce these metals. Belgium, Canada, Germany, Japan, and Ukraine can manufacture germanium. Japan, South Korea, Ukraine, Russia and Germany meanwhile produce gallium.
There are also potential substitutes for these metals.
China’s scale allowed it to produce them at a lower cost than elsewhere, but Eurasia Group notes that Beijing’s moves will have a “limited impact on global supply given the targeted scope.”
https://www.cnbc.com/2023/07/04/what-are-gallium-and-germanium-china-curbs-exports-of-metals-for-tech.html
Thought of the day....
The era of electrical connectivity limiting data transmission is ending, as optical interconnectivity becomes the foundational building block for accelerated computing. Today’s advanced AI models require exponentially increasing memory capacity and bandwidth, as Large Language Models (LLM) including GPT-4 used for ChatGPT and Recommendation Engines are memory bound rather than compute bound. Cloud service providers (CSPs) and hyperscale data centers are unable to decouple memory scaling from compute, often referred to as the “memory-wall” challenge. Low bandwidth, high latency and high-power electrical interconnects are consequential barriers to growth of profitable AI business models and advancements in AI. As a result, Optical Compute Interconnect (OCI) is the only viable solution to enable disaggregation of scalable data center memory and accelerated computing. Additionally, optically interconnected pooled memory systems address the largest contributor to data center economic inefficiency – stranded memory.
I had forgotten about the NIST article, thanks for reminding me, I also forgot to post the link...gettin old and yes the other names mentioned in the article makes my heart race!
https://www.lightwavelogic.com/news-events-presentation/press-releases/
Fun fact, ML issued an important pr on the same day (11/17/22) this article was published...coincidence? Maybe...;) Happy 4th all!
Lightwave Logic Announces Issuance of U.S. Patent for Novel Hybrid Silicon Photonics Polymer Modulator Fabrication Process
The patent issuance - entitled "Hybrid electro-optic polymer modulator with silicon photonics" under patent number US 11,435,604 B2 - details a novel fabrication process that allows Lightwave Logic's proprietary polymers to be fabricated by silicon foundries in a high-volume manufacturing environment. The patent also details a more efficient process that allows for high yielding, high stability poling of polymers in a high-volume foundry manufacturing environment. The development of the Process Development Kit (PDK) for this new optical hybrid optical modulator design is now in progress with Lightwave Logic's foundry partners...
This patent in particular is exciting from a commercial standpoint as it enables our polymers to be mass-produced using existing silicon foundry equipment, simplifying production for the foundry's we are working with.
Lightwave Logic Inc. Faster by Design
Vector databases...
Companies across every industry increasingly understand that making data-driven decisions is a necessity to compete now, in the next five years, in the next 20 and beyond. Data growth — unstructured data growth in particular — is off the charts, and recent market research estimates the global artificial intelligence (AI) market, fueled by data, will “expand at a compound annual growth rate (CAGR) of 39.4% to reach $422.37 billion by 2028.” There’s no turning back from the data inundation and AI era that’s upon us.
Great informative info MP4, thanks for sharing!
How far gone is the US? Wall Street is openly telling you they illegally naked short stocks EVERY SINGLE DAY and NOBODY does a thing about it.
— Wall Street Apes (@WallStreetApes) June 29, 2023
“I would illegally naked short sell stocks every day, as long as I was collecting commissions & the bank did not care. — you don’t ask… pic.twitter.com/3BYPWLe4Bs
I can't tell you how many years I've waited for a pr like this SJ, it is to my mind the logical next step in the progression and adoption of PIC technology. It has to start with the equip. manufacturers...the AMAT's, KLAC, ASML's of the world.
Exciting times!
Lightwave Logic Inc. Faster by Design
It’s all about data
Modernising cumbersome IT infrastructures is key, as is the need to migrate systems to the cloud to be able to fully utilise connected devices. Low latency and low cost is an imperative for businesses to fully embrace IoT, but can prove difficult to achieve. A solid infrastructural foundation is needed for huge volumes of data to be ingested in real-time. In addition, bandwidth must be sufficient to enable big data analysis and drive decision-making, with this capability gaining new significance as IoT data processing moves to the edge.
Legacy systems frequently prove to be a blocker to increased scalability and flexibility, as many products integrated over ten years ago are unlikely to possess the agility required to process, store and analyse significantly higher volumes of unstructured data. Simultaneously, understanding of IoT technology is still limited in a number of businesses, leading to hesitation and hindrances in digital transformation progress.
Imec and ASML collaborate with all leading-edge chipmakers and materials and equipment ecosystem partners, with the goal to prepare the technology for the fastest possible adoption in mass manufacturing.
The pieces are coming together. I'll be looking for a similar pr from AMAT in the U.S...;)
6/28/23
Imec and ASML sign Memorandum of Understanding (MOU) to support semiconductor research and sustainable innovation in Europe ASML is making a substantial commitment in imec's future state-of-the-art pilot line
Leuven (Belgium) and Veldhoven (the Netherlands), June 28, 2023 – Imec, a leading research and innovation hub in nanoelectronics and digital technologies, and ASML Holding N.V. (ASML), a leading supplier to the semiconductor industry, today announce that they intend to intensify their collaboration in the next phase of developing a state-of-the-art high-numerical aperture (High-NA) extreme ultraviolet (EUV) lithography pilot line at imec.
The pilot line is intended to help the industries using semiconductor technologies to understand the opportunities that advanced semiconductor technology can bring and have access to a prototyping platform that will support their innovations. The collaboration between imec, ASML and other partners will enable the exploration of novel semiconductor applications, the potential development of sustainable, leading-edge manufacturing solutions for chip makers and end users, as well as the development of advanced holistic patterning flows in collaboration with the equipment and material ecosystem.
The Memorandum of Understanding signed today includes the installment and service of ASML's full suite of advanced lithography and metrology equipment in the imec pilot line in Leuven, Belgium, such as the latest model 0.55 NA EUV (TWINSCAN EXE:5200), latest models 0.33 NA EUV (TWINSCAN NXE:3800), DUV immersion (TWINSCAN NXT:2100i), Yieldstar optical metrology and HMI multi-beam. The intended engagement represents a very significant value in the advanced pilot line.
This groundbreaking new High-NA technology is crucial for developing high-performance energy-efficient chips, such as next-generation AI systems. It also enables innovative deep-tech solutions that could be used to tackle some of the major challenges our society is facing in for instance healthcare, nutrition, mobility/automotive, climate change and sustainable energy. Significant investments are needed to secure industry-broad access to High-NA EUV lithography beyond 2025 and retain the related advanced node process R&D capabilities in Europe.
This Memorandum of Understanding kickstarts the next phase of intensive collaboration between ASML and imec on High-NA EUV. The first phase of process research is being executed in the joint imec-ASML High-NA lab using the first High-NA EUV scanner (TWINSCAN EXE:5000). Imec and ASML collaborate with all leading-edge chipmakers and materials and equipment ecosystem partners, with the goal to prepare the technology for the fastest possible adoption in mass manufacturing. In the next phase, these activities will be ramped up in the imec pilot line in Leuven (Belgium) on the next-generation High-NA EUV scanner (TWINSCAN EXE:5200).
The intensified collaboration plans on lithography and metrology technology between the two semiconductor players are in line with the ambitions and plans of the European Commission and its member states (Chips Act, IPCEI) in order to strengthen innovation to tackle societal challenges. Part of the collaboration between imec and ASML is therefore captured in an IPCEI proposal which is currently in review by the Dutch government.
"ASML is making a substantial commitment in imec's state-of-the-art pilot fab to support semiconductor research and sustainable innovation in Europe. As artificial intelligence (AI) rapidly expands into domains such as natural language processing, computer vision and autonomous systems, the complexity of tasks escalates. Therefore, it is crucial to develop chip technology that can meet these computational demands without depleting the planet's precious (energy) resources," said Peter Wennink, President and Chief Executive Officer of ASML.
"This commitment from ASML, which builds on over 30 years of successful collaboration, sends a powerful signal of our unwavering dedication to drive the advancement of sub-nanometer chip technology," commented Luc Van den hove, President and Chief Executive Officer of imec. "This collaboration serves as a testament to the strength that lies in unity within the chip industry. While these projects enable us to fortify our regional strengths initially, they also pave the way for future global cooperation, allowing partners worldwide to benefit from local breakthroughs. It is through these collective efforts that we can truly accelerate innovation and propel the semiconductor industry to new heights."
Hmm? It's almost as if she knew about Biden's proposed AI chip announcement...? Unbelievable!
Twitter
Nancy Pelosi bought puts on $NVDA today
8:44 PM · Jun 27, 2023
I have a feeling this quote will be referenced for years to come... Data is the fuel that drives the AI engine.
June 27, 2023
Microsoft CEO, Satya Nadella
Lightwave Logic Inc. Faster by Design
More on Biden's $42B high speed initiative...
Each state will receive a minimum of $107 million, with 19 states receiving over $1 billion. Texas is slated to receive more than $3.3 billion under the program.
White House officials compared the plan to Franklin D. Roosevelt’s effort to bring electricity to rural America in the 1930s.
“Put simply, high-speed internet is a necessity in today’s society,” said Mitch Landrieu, White House Infrastructure Coordinator. “President Biden and Vice President Harris are committed to leaving no community behind as we connect everyone in America to high-speed internet.”
More than 7% of the country, or more than 8.5 million homes and small businesses, is considered underserved, with internet speed below the government’s standards of at least 25 megabits per second for downloads and 3 Mbps for uploads.
6/26/23
AMZN to spend additional $7.8B on DC expansion by 2030 in just the state of Ohio alone...wow!
In total, Amazon Web Services (AWS) operates over 125 physical data centers in various global locations, with these facilities comprising over 26 million square feet. Jun 15, 2022
Nice find Xena!
President Joe Biden will kick off a week of events billed by the White House as a major push to promote “Bidenomics” with an announcement on Monday of how the federal government plans to divvy up nearly $42.5 billion to build out high-speed internet networks.
Article is a bit dated (Sept. 22'), yet still oh so relevant...
The 5 Biggest Technology Trends In 2023 Everyone Must Get Ready For Now
Bonus Trend: Sustainable Tech
On top of the five trends outlined above, there is one other ‘non-negotiable’ tech trend that will move even more into the spotlight in 2023: we need to make sure our technology is environmentally sustainable. With some of these data-driven and compute-hungry technologies, the environmental costs can sometimes be hidden away in cloud data centers that companies that are using the tech will never see or touch. Customers and investors are increasingly looking for green credentials, and we will see more of this in 2023. Data centers and blockchain technology need to become greener, and companies need to ensure they don’t waste valuable resources storing data they don’t need and running algorithms that don’t add value.
I've seen increased online chatter recently re Tesla's Dojo chip...emphasis on low voltage electronics...;)
January 19th, 2023
Samsung, Intel, TSMC, and many other device makers are focusing on optimizing die-to-die and die-to-package interconnects in various architectures, whether constructed vertically with microbumps, hybrid bonding, and bridges, or horizontally with fan-out redistribution layers. Deciding how and where interconnections will be formed is a becoming a big part of system integration.
The number of packaging options is growing because many of these new designs are highly customized for specific applications. So how they are constructed and connected often depends on the amount and type of data that needs to be processed, where it needs to be processed, and how much power is available. Case in point: Tesla’s D1 Dojo chip, a 50-billion transistor chip used to train AI models inside Tesla’s data center. The emphasis here is on massive data throughput, using highly parallel computation with built-in flexibility, said Pete Bannon, vice president of low voltage electronics at Tesla, in a recent presentation.
Tesla’s device includes 25 D1 chiplets in an array, based on TSMC’s Integrated Fan-Out (InFO) technology. Bannon said the device can achieve 9 petaflops, moving at a speed of 36 terabytes per second using 576 lanes of an I/O ring. It also includes 3 narrow RDL layers and 3 thick RDL layers.
TSMC’s roadmap, meanwhile, calls for new low-resistance interconnects that can decrease resistance by 40%. Fabricated not by damascene but by subtractive metal reactive ion etch with airgap instead of dielectric, the scheme can decrease capacitance by 20% to 30%, and eventually 2D interconnect materials to replace copper interconnects, according to Yuh Jier Mii, TSMC’s senior vice president of R&D. “With less resistivity, there is a potential for future scaling with enhanced interconnect performance,” Mii said in a recent presentation
Moving data at the speed of light
The world’s forty-two billion connected and intelligent devices, increased data center power consumption, along with the maturity of Moore’s Law, is driving the need for innovative solutions to move more data faster and more efficiently. As a result, moving from electrons to photons is now an essential technical disruption.
Very interesting indeed, eliminate the vultures in the middle. Amazing how deeply entrenched syndicates can be turned upside down when you have $10T AUM...
An interesting video on Switch's DC facility the "Citadel" (now owned by DigitalBridge), data truly is the new oil...!
10 emerging innovations that could redefine IT
Jun 13, 2023
Green computing
Every day we hear new stories about huge new data centers filled with massive computers that are powering the cloud and unlocking the power of incredibly complicated algorithms and artificial intelligence applications. After the feeling of awe dissipates, two types of people cringe: the CFOs who must pay the electricity bill, and green advocates who worry about what this is doing to the environment. Both groups have one goal in common: reducing the amount of electricity used to create the magic.
It turns out that many algorithms have room for improvement and this is driving the push for green computing. Does that machine learning algorithm really need to study one terabyte of historical data or could it get the same results with several hundred gigabytes. Or maybe just ten or five or one? The new goal for algorithm designers is to generate the same awe with much less electricity, thus saving money and maybe even the planet.
Main constituents: Any entity that cares about the environment — or pays a utility bill.
Chance of succeeding: Programmers have been sheltered from the true cost of running their code by Moore’s Law. There’s plenty of room for better code that will save electricity.
Great find Mdk1!, I particularly like the green bar "Takeaway" from pg. 19...
Partnering allows us to focus on our uniqueness, efficient use of capital, & to prepare for volume...
Good to hear, if anyone came even close, I'd have to believe ML would have them nailed to the wall in the courtroom...MOAT is deep and getting wider by the day!
I hope you are right, imagine if Nvidia had be swallowed up by MSFT or INTEL in the early days...
Our job at Lightwave Logic is to make the polymers assessable to everyone.
I was thinking along those lines as well micro, ML's patent proficiency/acumen is truly second to none!
The Future of Communication Technology: Dr Michael Lebby On How His Technological Innovation Will Shake Up How We Connect and Communicate With Each Other
An Interview With David Liu May 18, 2022
As a part of this series, I had the pleasure of interviewing Dr. Michael Lebby.
Dr. Michael Lebby is both an entrepreneur and intrapreneur primarily in the photonics field. He and his colleagues co-invented a diode laser (oxide VCSEL) at Motorola in the early 1990s which is now being utilized in unit volumes of billions in mobile phones for structured light/3D sensing (such as FACE ID™ by Apple), personal computers as the laser mouse, as well as fiber optic interconnects that make up the internet. He is currently the CEO of Lightwave Logic Inc., which he led to up-list organically (which is a very rare achievement) to the NASDAQ in September 2021. Dr. Lebby holds over 230 issued USPTO utility patents and over 450 if international derivatives are included. [/b]He has been cited by the USPTO to be in the most prolific 75 inventors in USA from 1988–1997. He is an accomplished technical expert witness with over 100 patent litigation/IP cases and over 20 trials. He has led the USA trade association in optoelectronics (OIDA) and represented the North American optoelectronics industry on Capitol Hill. He was recently made Fellow of the National Academy of Inventors (USA) in 2020. He is a Fellow member of IEEE and OSA, and an elected member of the Cosmos Club of DC, London Guild of Spectacle Makers, and Royal Philatelic Society (London). He holds two doctorates in EE and an MBA from Bradford University (UK), has been a full Professor of Optoelectronics at Glyndwr University in Wales, UK.
What do you need to lead this technology to widespread adoption?
Intel said it plans to invest up to $4.6 billion to build a semiconductor assembly and test facility in Poland , with the plant helping "meet demand for assembly and test capacity anticipated in coming years."
In a press release, the chip maker said the plant would create about 2,000 Intel jobs. The plant is expected to be operational by 2027.
Intel (ticker: INTC) was rising 0.9% in premarket trading. The stock has gained 35% this year.
The company operates a wafer fabrication plant in Ireland , and announced plans last year for another in Germany . The plant in Poland "will help create a first-of-its-kind end-to-end leading-edge semiconductor manufacturing value chain in Europe ," Intel said.
" Poland is already home to Intel operations and is well-positioned to work with Intel sites in Germany and Ireland ," said Intel CEO Pat Gelsinger . "It is also very cost-competitive with other manufacturing locations globally and offers a great talent base that we are excited to help to grow."
Bloomberg reported that Intel was set to receive almost $11 billion in subsidies from the German government for its chip-making plant in the country. An official announcement could come Monday, Bloomberg reported, citing people familiar with the matter.
This content was created by Barron's, which is operated by Dow Jones & Co. Barron's is published independently from Dow Jones Newswires and The Wall Street Journal .
(END) Dow Jones Newswires
06-16-23 0550ET
Forward thinking Brits..."One of these goals is to achieve nationwide, gigabit-capable broadband coverage by 2030."
The Rise of the Altnet
MARCH 1, 2023
Full fibre or fibre to the premise (FTTP) uses fibre optic cables to carry data as pulses of light along threads of glass from the national network to the street cabinet, then to individual homes and businesses. As standard, FTTP supports 1,000 Mbps or one gigabit per second (Gbps) for every connection regardless of proximity to the exchange but it’s easily upgradeable to ten times this speed to ensure it is ready for future demands.
An interesting read...
neuromorphic computing
The future of neuromorphic computing
Recent progress in neuromorphic research is attributed in part to the widespread and increasing use of AI, machine learning, neural networks and deep neural network architectures in consumer and enterprise technology. It can also be attributed to the perceived end of Moore's law among many IT experts.
Moore's Law states that the number of transistors that can be placed on a microchip will double every two years, with the cost staying the same. However, experts forecast that the end of Moore's Law is imminent. Given that, neuromorphic computing's promise to circumvent traditional architectures and achieve new levels of efficiency has drawn attention from chip manufacturers.
Recent developments in neuromorphic computing systems have focused on new hardware, such as microcombs. Microcombs are neuromorphic devices that generate or measure extremely precise frequencies of color. According to a neuromorphic research effort at Swinburne University of Technology, neuromorphic processors using microcombs can achieve 10 trillion operations per second. Neuromorphic processors using microcombs could detect light from distant planets and potentially diagnose diseases at early stages by analyzing the contents of exhaled breath.
Because of neuromorphic computing's promise to improve efficiency, it has gained attention from major chip manufacturers, such as IBM and Intel, as well as the United States military. Developments in neuromorphic technology could improve the learning capabilities of state-of-the-art autonomous devices, such as driverless cars and drones.
And the Lightwave IP moat gets even deeper and the deeper the moat, the higher the price;)
Or better said....
2,500 devices p/sec x 86,400 sec p/day = 216,000,000 devices connecting p/day...
Try wrapping your head around that figure.
The Truly Connected Enterprise
APRIL 5, 2023
A recent study from Amazon Web Services (AWS) found that, by 2025, over 150,000 new IoT devices will connect to the internet every minute.
What’s next in Networking: Innovation, Transparency, and Connectivity
MAY 31, 2023
Cloud players driving the evolution of the network
Cloud computing continues to experience significant growth and adoption across various industries. Gartner forecasts that global public cloud spending will grow by over 20% this year, reaching a total of $591.8 billion.
Extended Reach (ZR) optical networks: Making connections faster than ever
The next big innovation in networking will be the development of Extended Reach (ZR) optical networks that can transmit data at speeds up to 400 GBs. This is a significant advancement over the current Data Centre Interconnect (DCI) infrastructure, which could go up to 200 GBs. With ZR technology, businesses can expect faster speeds at much lower cost and complexity, with less equipment needed to manage network performance.
Dan Harding
CEO, Nubis Communications
Prior to joining, Dan was Vice President of Marketing at Broadcom, where he defined and brought to market multiple generations of Broadcom’s industry-leading Ethernet NICs, SmartNICs and offload compute engines for video and encryption. He grew the business by over 10x by partnering closely with the leading hyperscale cloud operators and system OEMs across the world. During his career at Broadcom, he led numerous market leading products, and was responsible for nearly $1B in annual revenue. Before Broadcom, Dan was Vice President of Sales and Marketing at Sandburst Corp., a pioneer in developing scalable switch fabrics and network processors as merchant silicon components for high-end Ethernet switches. Sandburst was acquired by Broadcom in 2006. Dan holds a BA from Duke University.
https://www.nubis-inc.com/about-us/