InvestorsHub Logo
Followers 0
Posts 1959
Boards Moderated 0
Alias Born 08/28/2006

Re: None

Friday, 02/27/2009 6:25:05 AM

Friday, February 27, 2009 6:25:05 AM

Post# of 151805
SPIE panel: EUV on the ropes

Mark LaPedus
(02/26/2009 6:14 PM EST)
URL: http://www.eetimes.com/showArticle.jhtml?articleID=214700009

SAN JOSE, Calif. -- During a panel discussion at the SPIE Advanced Lithography conference here, experts debated and spared over the future of patterning.
Panelists agreed that 193-nm immersion with double-patterning appears to be current and only lithography solution available for mass production of devices at the 32- and possibly 22-nm nodes.

As expected, there was little agreement in terms of what comes next. There are still many challenges facing the so-called next-generation lithography (NGL) technologies, such as EUV, maskless, nano-imprint and even advanced double-patterning, experts said.

There is a growing pessimism over the viability of extreme ultraviolet (EUV). As reported, EUV lithography has been dogged by delays due to the lack of sources, resists and masks. EUV is now being targeted for the 16-nm node.

Even at that node, EUV remains in question--and could be on the ropes. ''Last year, (EUV) was a question of 'when.' This year, it's more of a question of 'if,' '' said Milind Weling, engineering director of signoff and silicon optimization for Cadence Design Systems Inc. (San Jose), during the panel, which was sponsored by Applied Materials Inc. (Santa Clara, Calif.)

''I would echo that,'' said Burn Lin, senior director of the micropatterning division at Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC). ''If you look at the papers (at SPIE), EUV is making progress.''

But looking beyond the papers and endless claims, there are still major problems and issues associated with EUV, Lin said. For example, TSMC (Hsinchu) has yet to order an EUV tool. The company's main tool supplier--ASML Holding NV--is currently selling a ''pre-production'' EUV tool, which will ship next year. EUV tool costs are estimated to be about $90 million.

''It's too expensive,'' Lin told EE Times, adding that even cash-rich TSMC is balking at the idea of paying a fortune for an R&D tool. ''We're not a research organization,'' he said. ''We're a manufacturing organization.''

Like in previous presentations, Lin said his ''preferred'' NGL choice is maskless technology. At 22-nm, TSMC would like to put maskless in mass production--in all of its fabs. The foundry giant itself has invested in Mapper Lithography NV, a developer of maskless tools.

One on hand, maskless reduces lithography costs by eliminating the photomask. But like EUV, maskless has some major issues. Throughput and tool maturity remain a major issue, Lin conceded.

And like EUV, the clock is ticking on maskless. If maskless goes into mass production at 22-nm, TSMC needs proof that the tools work sooner than later. 2009 or 2010 ''will be very critical for multi-beam e-beam'' technology, he added.

One of the problems with maskless is the overall lack of funding for the technology. In fact, there is a funding gap for nearly all NGLs, except for EUV. EUV has received an inordinate amount of funding from the industry, with little or no payback to speak of, said Grant Willson, chairman of the Rashid Engineering Regents and a member of the Departments of Chemical Engineering and Chemistry at the University of Texas at Austin, Texas.

"We would like to see a re-distribution of the wealth,'' Willson said.

Not surprisingly, Willson, the co-founder of nano-imprint pioneer Molecular Imprints Inc. (MII), is pushing that technology for mainstream IC production.

Willson pulled no punches and slammed EUV and maskless. For example, cost is a huge concern for EUV. One could buy 10 nano-imprint tools from MII for one EUV machine, Willson said.

There is no evidence that EUV works. On the other hand, nano-imprint has demonstrated the ability to produce devices at sub-10-nm feature sizes, he said. Regarding maskless, IBM and others have tried to get direct-write to work in mass chip production for years. But even IBM stumbled and ''could not control a single beam'' in direct-write, he said.

Willson conceded that nano-imprint has a ways to go before it moves into mass production in semiconductor fabs. Nano-imprint suffers from overlay and throughput issues. This technology ''is happening at a slower pace than I would have anticipated,'' he said.

All NGL technologies, including EUV, maskless and nano-imprint, have some serious drawbacks, making them a headache in terms of putting them in production fabs. ''They are all expensive,'' but the real problem is that they are ''difficult to put in practice,'' said Steve Radigan, director of process development at SanDisk Corp. (Milpitas, Calif.).

Unlike Lin and Willson, Radigan was not pushing a particular technology and appears to be agnostic on the lithography front. Here is Radigan's view of the lithography candidates:
*Double patterning. ''A stop gap technology,'' he said. ''It is becoming mainstream. We don't have anything else to work with.'' But the problem is that double-patterning is a ''very complex process.''
*EUV. ''An extension to optical,'' he said. ''The big question is when it will roll out for mass production.''
*Maskless. ''Not adapted for high-volume manufacturing,'' he said. ''Throughput is the Achilles Heel.''
*Nano-imprint. 1x masks are an issue. ''It is not production ready,'' he said.

With these shortcomings in mind, Cadance's Weling offered a new solution to the problem: ''Lithography is in need of a stimulus package,'' he said.
Volume:
Day Range:
Bid:
Ask:
Last Trade Time:
Total Trades:
  • 1D
  • 1M
  • 3M
  • 6M
  • 1Y
  • 5Y
Recent INTC News