InvestorsHub Logo
Followers 4
Posts 3435
Boards Moderated 0
Alias Born 03/05/2003

Re: None

Tuesday, 03/06/2007 9:23:59 AM

Tuesday, March 06, 2007 9:23:59 AM

Post# of 97551

EE Times: Industry socked by next-gen litho woes

Mark LaPedus

Page 1 of 2

EE Times
(03/05/2007 9:00 AM EST)

San Jose, Calif. -- The lithography world has suddenly turned upside-down as the industry gets socked by a double whammy: The window of opportunity is slowly closing on extreme-ultraviolet (EUV) lithography for IC production, but the most likely alternative--a version of 193-nanometer immersion--is proving expensive. The added costs could have some serious implications for chip scaling.

At last week's SPIE Advanced Lithography conference here, there were troubling signs that oft-delayed EUV technology could get pushed out even further, to the 16-nm node, in 2013--if it materializes at all. That could set back leading-edge chip makers such as Intel and Samsung, which were hoping to get their hands on working EUV tools for the early-development phases of the 22-nm node in 2011.

EUV's problems open the door for a crop of emerging technologies, such as immersion, maskless and nanoimprint lithography. But at least for the 32- and 22-nm nodes, the leading contender is 193-nm immersion, equipped with the new buzzwords "double exposure" and "double patterning."

Although various entities have proven the viability of double exposure and double patterning, the technology is more expensive than today's patterning schemes. That means chip-manufacturing costs could take a big hit over time. There are other ominous implications for the continued push to reduce IC bit prices at each process technology node...

http://www.eetimes.com/news/latest/showArticle.jhtml;jsessionid=CC0B1JTKDOAVIQSNDLSCKHA?articleID=19....
Volume:
Day Range:
Bid:
Ask:
Last Trade Time:
Total Trades:
  • 1D
  • 1M
  • 3M
  • 6M
  • 1Y
  • 5Y
Recent AMD News